728x90
UVM은 Universal Verification Methodology와 BeyondTrust UVM Appliance 두 가지로 구성 방법이 완전히 다릅니다. 각각의 구체적인 구성 절차를 설명해 드리겠습니다.
1. Universal Verification Methodology (UVM) 구성 방법
필수 구성 요소
-
EDA 도구 (Cadence, Synopsys, Mentor Graphics 등) 또는 ModelSim/Verilator
-
UVM 라이브러리 (Accellera Systems Initiative에서 다운로드)
Linux 환경 구성 절차
Step 1: UVM 라이브러리 다운로드
# Accellera 웹사이트에서 UVM 1.2 다운로드
# uvm-1.2.tar.gz 파일을 작업 공간으로 복사
Step 2: 압축 해제
tar -xvf uvm-1.2.tar.gz
# uvm-1.2 폴더가 생성됨
Step 3: 환경 변수 설정
# 사용 중인 셸 확인
echo $0
# bash 셸인 경우
export UVM_HOME=/path/to/your/workarea/uvm-1.2
# tcsh 셸인 경우
setenv UVM_HOME /path/to/your/workarea/uvm-1.2
Step 4: EDA 도구와 통합
-
시뮬레이터 컴파일 시 -incdir $UVM_HOME 옵션으로 UVM 경로 지정
-
또는 도구별 library mapping 설정 수행
Windows 환경 (ModelSim) 구성 절차
Step 1: UVM 라이브러리 다운로드
-
EDA 도구 설치 디렉토리에서 UVM 찾기 (일반적으로 포함되어 있음)
-
또는 Accellera 웹사이트에서 직접 다운로드
Step 2: 환경 변수 설정
UVM_HOME = C:\path\to\uvm-1.2
Step 3: ModelSim 프로젝트 설정
-
uvm_pkg.sv 파일 경로 추가
-
UVM 매크로 파일(uvm_macros.svh) include 경로 설정
검증을 위한 테스트 코드
`include "uvm_macros.svh"
import uvm_pkg::*;
module uvm_tb;
initial begin
uvm_report_info("UVM Test", "Starting simulation", UVM_LOW);
$finish;
end
endmodule
2. BeyondTrust UVM Appliance 구성 방법
가상 어플라이언스 구성
Step 1: 가상 머신 배포
-
VMware: File > Deploy OVF Template 선택
-
Hyper-V: USB에서 직접 이미지 가져오기 (복제 지원 안 됨)
-
주의: USB에서 직접 설치해야 하며, 파일을 로컬로 복사하지 말아야 함
Step 2: IP 주소 구성
# LCD 패널에서 IP 확인
1. LCD 패널에서 "Show IP" 선택
2. 또는 "Config IP > Manual"로 수동 설정 (IP, Subnet Mask, Gateway, DNS)
Step 3: 웹 기반 설정 마법사 실행
-
브라우저에서 https://[UVM_IP_ADDRESS] 접속
-
SSL 인증서 경고 → "Continue to this Website" 선택
-
"Configuration Wizard" 시작
Step 4: 라이선스 및 네트워크 설정
-
머신 이름(호스트명) 입력 (한 번 설정 후 변경 불가)
-
라이선스 동의서 수락
-
SQL Server 구현 방식 선택 (내장 또는 기존 SQL Server 사용)
-
네트워크 설정: 인터넷 연결, 프록시 서버, 또는 오프라인 모드 선택
Step 5: 사용자 인증 정보 설정
- Administrator 비밀번호
-
BeyondInsight 사용자 이름/비밀번호
-
BeyondTrust Updater 비밀번호
Step 6: 시스템 구성 완료
-
시간대 및 날짜/시간 설정
-
자동 동기화 설정
-
설정 파일 다운로드 (향후 재구성 시 사용)
포트 및 방화벽 요구사항
필요한 포트 및 ICMP 프로토콜 설정 확인 후 네트워크 보안 정책에 반영 필요
선택 가이드
-
반도체 검증 작업 → Universal Verification Methodology 구성
-
보안 관리(PAM) → BeyondTrust UVM Appliance 구성
728x90
'프로그램 개발(분석, 설계, 코딩, 배포) > 100. 기타' 카테고리의 다른 글
| mariadb datetime sql 1292 truncated incorrect datetime value 오류 (0) | 2025.11.10 |
|---|---|
| VMware VDI 환경 Oracle XE 설치 가이드 (0) | 2025.11.08 |
| Datastore 해제 작업 (0) | 2025.11.08 |
| 쿼럼 디스크 구성하는 방법 (0) | 2025.11.08 |
| ESB의 운명 SOA 시대의 기원부터 더 나은 접근 방식을 찾는 데 영감을 준 과제까지 (0) | 2025.11.04 |